FPGA Başlangıç

  1. KısayolKısayol reportŞikayet pmÖzel Mesaj
    SpArK
    SpArK's avatar
    Kayıt Tarihi: 17/Temmuz/2005
    Erkek

    Arkadaşlar aranızda FPGA kullanıp, geliştirme yapanlar var mı ? Bu yıl hazır bitirme projemi yapmış, 1 yıl da okul uzatmış biri olarak, kendim tekrardan bitirme projesi edasında birşeyler yapmak istedim, ve araştırmamı insansız araçlar üzerine yapıyorum, şuan uçak(rene gülecek buraya) ve multi-rotor sistemler üzerinde araştırma yapıyorum.

    Bu sistemlerin üzerinde, ve yer istasyonlarında, genellikle çokça rast geldiğim ortak şeylerden biri FPGA(Field-programmable gate array). Hatta sadece bu tip sistemlerin değil, gerçek kara, hava, deniz, radar ve daha birçok sistemde kullanıldığını gördüm. Bunun yanında, türkiyede şirketler tarafından kullanımı çok az da olsa, Aselsan, Havelsan, Roketsan, TAI ve benzeri TSKGV bünyesindeki ülkemizdeki savunma sanayi şirketleri tarafından da ASIC gibi çokça kullanıldığını öğrendim. Ayrıca programlama dili olarak avrupa ve türkiyede VHDL, amerika de ise Verilog kullanıldığını öğrendim. 

    Öncelikle okuma yapıyorum sadece, fakat, başlangıç için bir FPGA geliştirme kartı almaya hevesim var. Xilinx ve Altera gibi 2 dev in pazara öncülük yaptığını öğrendim. O yüzden bu 2 firmadan özellikle de Xilinx markasından bir kart almaya isteğim var.

    Almayı düşündüğüm kart ise http://www.xilinx.com/products/boards-and-kits/HW-SPAR3E-SK-US-G.htm. Fakat türkiye distributörleri olan http://www.empa.com/ nın sitesinde şimdilik bilgi bulamadım, yarın aramayı düşünüyorum. Yurt dışına baktığımda ise, RaspberryPi siparişi verdiğim http://tr.farnell.com/digilent/410-134/eval-fpga-nexys2-spartan-3e-500k/dp/2061834 in sitesinde buldum ki güvenilir geliyor. Bir de türkiyeye gönderdiğini okuduğum, https://www.digilentinc.com/Products/Catalog.cfm?NavPath=2,400&Cat=10&FPGA adresinde bulabildim "Spartan 3E Starter Board" u. 

    Aramızda belki FPGA tecrübesi olan arkadaşlar vardır diye, sizlere de sormak istedim. Şimdiye kadar http://www.cizgi-tagem.org/e-kampus/#tab=5 adresinde bir eğitim gördüm türkiyede. Ayrıca Ege Üniversitesinin de bir FPGA laboratuarı olduğunu http://electronics.ege.edu.tr/laboratuarlar/fpga_lab.htm, ve hatta FPGA topluluğu kurduklarını gördüm ki okuduğum kadarıyla malesef üniversite dışından üye kabul etmiyorlarmış.

    Son olarak kartı alış sebebim her ne kadar bir uçak ya da multi-rotor sistemde autonom kontrol ve görüntü işleme olacak olsa bile(bunu şimdilik aracın üzerine RaspBerryPi koyup onboard, veyahut uçağımın üzerindeki oto pilot olan ArduPilot u aynen bırakıp, basitçe gelen görüntüyü yer bilgisayarıma alıp OpenCV ile işlemeye niyetim var, bu konuda da görüş ve öneri alabilirim), öğrenci olarak bunları yapıcak güçte kartları alamayacağım aşikar, hatta bu istediklerimi uzun yıllar çalışsamda başaramayacak olsam da, FPGA geliştirmeye giriş seviyesinde bir kart bakıyorum.

  2. KısayolKısayol reportŞikayet pmÖzel Mesaj
    SpArK
    SpArK's avatar
    Kayıt Tarihi: 17/Temmuz/2005
    Erkek

    Ayrıca http://www.elektrovadi.com/SPARTAN-3E-STARTER,PR-551.html üzerinde de satışı varmış, hem de akademik indirimi var.

     

    Sadece geliştirme kartı önerisi değil, hem de yol gösteren, tavsiyelerde bulunan düşünce, öneri ve görüşleriniz benim için epey önemli.

     

    Edit 1: Cizgi-Tagem i yeni yeni keşfediyorum, daha önce haberdar değildim. Gerçekten tebrik ettim adamları. http://www.cizgi-tagem.org/

    İnternet üzerinden rezervasyonla http://www.cizgi-tagem.org/e-lab/reservation.aspx remote lab kullanabiliyorsunuz ^_^

    SpArK tarafından 18/Eyl/12 00:58 tarihinde düzenlenmiştir
  3. KısayolKısayol reportŞikayet pmÖzel Mesaj
    All hail to Tux
    sandman
    sandman's avatar
    Kayıt Tarihi: 01/Eylül/2005
    Erkek

    Öncelikle çok doğru bir yoldasın FPGA şu anda elektronik dünyasında yükselen bir trend. Öncelike başlamak istiyorsan Türkiyede kaynak arama benim sana tavsiyem amazondan NEWRES yayınlarından FPGA 101 ve Pong'un kitaplarını getirtmen. PONG spartan 3E için hem verilog hemde VHDL kitapları var.

    Bende Xlinx Spartan 3E starter kit ve Alteradan 2 kit var. Ben ilkkez okulda gördüm bizim logic tasarım dersinin labında verilog gösteriyorlardı ben kendi çabalarımla ekstradan VHDL öğrendim. Alteranın uygun nano setleri var vga,klavye girişleri sd girişi ve ledlerle buttonlar var.Başlangıc için bence ideal eğer daha ilerlemek istersen üzerinde daha çok portu olan bir sistem secersin. FPGA'e başlamak için abi önce programlama üzerine bildigin herşeyi unut mantığı çok farklı. Tüm LUT'lar eş zamanlı çalıştığı için çok farklı düşünmen gerekiyor.

    Bir sorun olursa bana direk ulaşabilirsin.

     


    Mühendis kahveyi projeye dönüştüren bir insan evladıdır.
  4. KısayolKısayol reportŞikayet pmÖzel Mesaj
    All hail to Tux
    sandman
    sandman's avatar
    Kayıt Tarihi: 01/Eylül/2005
    Erkek

    OpenCV kısmını şimdi gördüm bende bu aralar tezim sebebiyle computer vision olaylarıyla ilgileniyorum. Sinyal işlemek istiyorsan FPGA abi seni üzer çünkü gercekten zor ama bazı babayigitler FPGA üzerine linux kerneli yüklemişler öyle yaparsan işte işin rengi değişiyor.

    UCLinux'a bakmanı öneririm.

    Ama benim gibiysen işi sadece geliştirim iyi olsun diyorsan bir adet beagleboard yada friendlyarm önerebilirim.Arm işlemciler gittikce piyasayı ele geçirdiler. Benim kendi tez projemde tüm robot kontrolünden tut,haberleşme ve görüntü işlemeyi beagleboard xm'le yapıyorum.


    Mühendis kahveyi projeye dönüştüren bir insan evladıdır.
  5. KısayolKısayol reportŞikayet pmÖzel Mesaj
    SpArK
    SpArK's avatar
    Kayıt Tarihi: 17/Temmuz/2005
    Erkek
    sandman bunu yazdı

    Öncelikle çok doğru bir yoldasın FPGA şu anda elektronik dünyasında yükselen bir trend. Öncelike başlamak istiyorsan Türkiyede kaynak arama benim sana tavsiyem amazondan NEWRES yayınlarından FPGA 101 ve Pong'un kitaplarını getirtmen. PONG spartan 3E için hem verilog hemde VHDL kitapları var.

    Bende Xlinx Spartan 3E starter kit ve Alteradan 2 kit var. Ben ilkkez okulda gördüm bizim logic tasarım dersinin labında verilog gösteriyorlardı ben kendi çabalarımla ekstradan VHDL öğrendim. Alteranın uygun nano setleri var vga,klavye girişleri sd girişi ve ledlerle buttonlar var.Başlangıc için bence ideal eğer daha ilerlemek istersen üzerinde daha çok portu olan bir sistem secersin. FPGA'e başlamak için abi önce programlama üzerine bildigin herşeyi unut mantığı çok farklı. Tüm LUT'lar eş zamanlı çalıştığı için çok farklı düşünmen gerekiyor.

    Bir sorun olursa bana direk ulaşabilirsin.

    -------------- 

    OpenCV kısmını şimdi gördüm bende bu aralar tezim sebebiyle computer vision olaylarıyla ilgileniyorum. Sinyal işlemek istiyorsan FPGA abi seni üzer çünkü gercekten zor ama bazı babayigitler FPGA üzerine linux kerneli yüklemişler öyle yaparsan işte işin rengi değişiyor.

    UCLinux'a bakmanı öneririm.

    Ama benim gibiysen işi sadece geliştirim iyi olsun diyorsan bir adet beagleboard yada friendlyarm önerebilirim.Arm işlemciler gittikce piyasayı ele geçirdiler. Benim kendi tez projemde tüm robot kontrolünden tut,haberleşme ve görüntü işlemeyi beagleboard xm'le yapıyorum.

    Çok teşekkür ederim @sandman. Senin gibi arkadaşlar da olmasa forumda, doğru yolları bulmamız zaman alırdı. Şimdi amazon.com dan dediğin kitaplara bakıyordum, zamanında çok kitap aldım ama, bu kitaplar epey pahalıymış özellikle pong kitapları $70> gibi olduğundan galiba babama aldırıcam galiba bu gidişle ^_^ 

    http://www.amazon.com/FPGAs-101-Everything-need-started/dp/1856177068/ref=sr_1_1?ie=UTF8&qid=1347947591&sr=8-1&keywords=FPGA+101

    kitabına baktığımda Customer Reviews de epey düşük puan vermişler, eğer sen onay verirsen bunu alıcam, bir de 

    http://www.amazon.com/FPGA-Prototyping-Verilog-Examples-Spartan-3/dp/0470185325/ref=sr_1_1?ie=UTF8&qid=1347947772&sr=8-1&keywords=Pong+FPGA

    bu kitabı buldum dediklerinden yola çıkarak. Peki bu kitabın Verilog mu VHDL i ni mi alayım ? Türkiyede ve avrupada VHDL ki strong typing olduğundan hata durumunda çalışmıyormuş, amerika da ise Verilog deniyor, hangisinden başlayacağımı bilemedim.

    Ayrıca dediğin gibi, Altera'nın nano kitlerine bakıcam öncelikle, basit ve anlaşılır bir başlangıç için. Ayrıca bana ulaş diyorsun ama, başını ağrıtıcaz sonra abi bu gidişle ^_^

    FPGA ile sinyal işlemekten vazgeçtim abi zaten, benim bilgimi çok çok aşan şeyler olduğunu fark ettim. Aslında senin beagleboard un daha da özellikleri azaltılmış ucuz hali olan RaspberryPi siparişi verdim, bu cihaz üzerinde hallederim belki çoğu işi diye. BeagleBoard dan haberim yoktu, güzel gözüküyor gerçekten. Maddi durumum olduğunda almayı düşünüyorum, BeagleBoard-xM $149 dolar fena değil aslında. Bizim okulda ise FriendlyARM Mini2440 var, 10-15 tane lab için, belki onlardan da yardım alırım. Fakat eninde sonunda savunma sanayi ile de ilgilenmek istediğimden, galiba ufak bir FPGA de alıcam ^_^

  6. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kabusluert
    kabusluert's avatar
    Kayıt Tarihi: 10/Ekim/2012
    Erkek

    Merhabalar.Bende fbga kartına başladım.verilog dili kullanıyorum.birde fbga ile ilgili projem var.hexapod robot yapacağım.1-2 haftaya motor kontrol etmesini öğrenirim haadır geliştirme aşamasındayım.Eğer sizde isterseniz beraber öğrenelim diyorum bilgilerimizi paylaşalım.spartan 3e board var onla çalışıyorum çokta faydalı.XILINX 13.4 programında yazıyorum.Çoğu şeyi olmasada bazı şeylerin similasyonunu program içinde yapabiliyorsunuz.


    Aslında herkes dahidir.Ama siz kalkıp bir balığı,ağaca tırmanma yeteneğine göre yargılarsanız,tüm hayatını aptal olduğuna inanarak geçirecektir(Einstein)
  7. KısayolKısayol reportŞikayet pmÖzel Mesaj
    All hail to Tux
    sandman
    sandman's avatar
    Kayıt Tarihi: 01/Eylül/2005
    Erkek
    kabusluert bunu yazdı

    Merhabalar.Bende fbga kartına başladım.verilog dili kullanıyorum.birde fbga ile ilgili projem var.hexapod robot yapacağım.1-2 haftaya motor kontrol etmesini öğrenirim haadır geliştirme aşamasındayım.Eğer sizde isterseniz beraber öğrenelim diyorum bilgilerimizi paylaşalım.spartan 3e board var onla çalışıyorum çokta faydalı.XILINX 13.4 programında yazıyorum.Çoğu şeyi olmasada bazı şeylerin similasyonunu program içinde yapabiliyorsunuz.

    Motor kontrolü gayet kolay bir iş alteranın kendi sitesinde bir dc motor kontrol örnegi var. Zaten h-köprüsüne baglarsan tüm işleri logic high ve logic low ile yapabildiğin için orada bir sıkıntı olmaz.

    Spartan benimde ilk kartımdı başlangıc için iyidir ama sana tavsiyem mümkün olduğu kadar simülasyonu kullanma FPGA'in yapısı biraz farklı olduğundan simülasyonlar kart üzerinde ki uygulamalardan farklı sonuclar verebiliyor.


    Mühendis kahveyi projeye dönüştüren bir insan evladıdır.
  8. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kabusluert
    kabusluert's avatar
    Kayıt Tarihi: 10/Ekim/2012
    Erkek

    Saolasın.motor kontrolü derken aslında servo motordu kusura bakmayın.robot için gerekli.similasyonunuda kullanmıyorum aslında karttada yapıyorum tavsiyen için teşekkür ederim.fbga de daha başlangıç aşamasındayım.şimdilk led lerle uğraşıyorum diyebilirm kartı tam kullanamıyorum.döküman sıkıntısıda cabası zaten asıl sorun bu.bi kaç kitap var onlarda yabancı dil ve çok pahalı.bana yardımcı olurmusunuz döküman ,fbga konusunda.


    Aslında herkes dahidir.Ama siz kalkıp bir balığı,ağaca tırmanma yeteneğine göre yargılarsanız,tüm hayatını aptal olduğuna inanarak geçirecektir(Einstein)
  9. KısayolKısayol reportŞikayet pmÖzel Mesaj
    All hail to Tux
    sandman
    sandman's avatar
    Kayıt Tarihi: 01/Eylül/2005
    Erkek
    kabusluert bunu yazdı

    Saolasın.motor kontrolü derken aslında servo motordu kusura bakmayın.robot için gerekli.similasyonunuda kullanmıyorum aslında karttada yapıyorum tavsiyen için teşekkür ederim.fbga de daha başlangıç aşamasındayım.şimdilk led lerle uğraşıyorum diyebilirm kartı tam kullanamıyorum.döküman sıkıntısıda cabası zaten asıl sorun bu.bi kaç kitap var onlarda yabancı dil ve çok pahalı.bana yardımcı olurmusunuz döküman ,fbga konusunda.

    Elindeki kit'e en uygun kitap pong'un Verilog with examples kitabı pdf olarak bulabilirsin bir tek uef dosyalarını elle yazman lazım.


    Mühendis kahveyi projeye dönüştüren bir insan evladıdır.
  10. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kabusluert
    kabusluert's avatar
    Kayıt Tarihi: 10/Ekim/2012
    Erkek

    Abi seni uğraştırdımda bulamadım pdf yi linki verebilirmisin.

    kabusluert tarafından 10/Eki/12 22:31 tarihinde düzenlenmiştir

    Aslında herkes dahidir.Ama siz kalkıp bir balığı,ağaca tırmanma yeteneğine göre yargılarsanız,tüm hayatını aptal olduğuna inanarak geçirecektir(Einstein)
  11. KısayolKısayol reportŞikayet pmÖzel Mesaj
    unbalanced
    unbalanced's avatar
    Kayıt Tarihi: 14/Haziran/2006
    Erkek

    buradan indirebilirsin sandman ın dediği kitabı  http://depositfiles.com/files/8407456 


    Ülkesini Seven Her Türk Vatandasi, Ülkesinin Sessiz Istilasi'na karsi durmak zorunda.
Toplam Hit: 3551 Toplam Mesaj: 12