FPGA'ya Nereden Başlamalıyım?

  1. KısayolKısayol reportŞikayet pmÖzel Mesaj
    C10H15N
    C10H15N's avatar
    Kayıt Tarihi: 10/Şubat/2014
    Homo

    Arkadaşlar Elektronik Harp ile ilgileniyorum. bunun için FPGA Öğrenmem gerektiğini duydum. nereden başlamalıyım. kendimi nasıl geliştirebilirim. elinizde bu konuyu sıfırdan her haliyle anlatan kaynak var mı? 

    sizde FPGA hakkında temel bilgiler verirseniz sevinirim. 

  2. KısayolKısayol reportŞikayet pmÖzel Mesaj
    mt93
    mt93's avatar
    Kayıt Tarihi: 15/Ocak/2013
    Erkek

    ilk öncelikle verilog veya vhdl den birini seçip iyi öğrenmeniz gerek. Tabii dahada öncesinde lojik devre bilginizin olması gerekiyor. FPGA geliştirme kartı gibi bişey var mıdır yakınınızda bilmiyorum ama yoksa başlangıç olarak kullanabileceğiniz bir kit edinebilirsiniz.Çizgi tagemde eğitimide varmış çok detaylı incelemedim ama.Bende öğrenme aşamasının daha başındayım.
    http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari

  3. KısayolKısayol reportŞikayet pmÖzel Mesaj
    All hail to Tux
    sandman
    sandman's avatar
    Kayıt Tarihi: 01/Eylül/2005
    Erkek

    Üniversitede ilgili bir bölümde okuyorsan mantık devreleri hocasına git, o sana yardımcı olabilir ama daha öncede yazmıştım olay sadece FPGA değil, bu işin büyük kısmı elektronik ve matematik bilgisi.


    Mühendis kahveyi projeye dönüştüren bir insan evladıdır.
  4. KısayolKısayol reportŞikayet pmÖzel Mesaj
    iQsuz
    iQsuz's avatar
    Kayıt Tarihi: 21/Nisan/2008
    Erkek

    herşeyden önce boolen matematiği bilmen gerek, daha sonra sayısal tasarım devrelerini bilmen gerekir.lojik kapılar.

    boolen cebri bilen için zor değildir. daha sonra çıkarım şekilleri falan var çeşitli bunlardan biri karnaugh haritaları,

    flip flop devrelerini falan iyice kavrarsan fpga senin için daha kolay ve eğlenceli hale gelebilir.

    ve en son iki tane yazlılımsal dil var bununla ilgili bunlardan biri verilog diğeri vhdl. bunlardan birini bilmen gerekli.

     

  5. KısayolKısayol reportŞikayet pmÖzel Mesaj
    C10H15N
    C10H15N's avatar
    Kayıt Tarihi: 10/Şubat/2014
    Homo
    mt93 bunu yazdı

    ilk öncelikle verilog veya vhdl den birini seçip iyi öğrenmeniz gerek. Tabii dahada öncesinde lojik devre bilginizin olması gerekiyor. FPGA geliştirme kartı gibi bişey var mıdır yakınınızda bilmiyorum ama yoksa başlangıç olarak kullanabileceğiniz bir kit edinebilirsiniz.Çizgi tagemde eğitimide varmış çok detaylı incelemedim ama.Bende öğrenme aşamasının daha başındayım.
    http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari

    Hocam aralarında büyük projeler için VHDL daha iyi duydum. verilog daha çok basit işler için kullanılıyor diyorlar. sizce VHDL iyi bir karar mı? 

     

    C10H15N tarafından 08/Mar/16 12:17 tarihinde düzenlenmiştir
  6. KısayolKısayol reportŞikayet pmÖzel Mesaj
    DrKill
    DrKill's avatar
    Kayıt Tarihi: 10/Mart/2004
    Erkek
    C10H15N bunu yazdı
    mt93 bunu yazdı

    ilk öncelikle verilog veya vhdl den birini seçip iyi öğrenmeniz gerek. Tabii dahada öncesinde lojik devre bilginizin olması gerekiyor. FPGA geliştirme kartı gibi bişey var mıdır yakınınızda bilmiyorum ama yoksa başlangıç olarak kullanabileceğiniz bir kit edinebilirsiniz.Çizgi tagemde eğitimide varmış çok detaylı incelemedim ama.Bende öğrenme aşamasının daha başındayım.
    http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari

    Hocam aralarında büyük projeler için VHDL daha iyi duydum. verilog daha çok basit işler için kullanılıyor diyorlar. sizce VHDL iyi bir karar mı? 

     

    7 ay önce bende aynı sorulara cevap arıyordum.

    Verilog öğren derim kesinlikle.

    FPGA için digital elektronik bilgini tazele, öğren veya geliştir öncelikle.

    Daha sonra basit bir fpga board al derim. FPGA boardlar biraz pahalı olur. İlk tavsiyem şu olur: http://www.elektrovadi.com/Arty-FPGA-Board-Artix-7,PR-2365.html

    Eğer yok en ucuzu nedir dersen şunu al derim: https://market.cizgi.com.tr/product/education/altera-de0-nano-academic

     

    Ben altera de2, de0 kullandım okulda. de2 ve de0 ın eski ürünü olduklarından (cyclonII ve cyclon III FPGA kartları vardı) quartus 13.1 den sonraki sürümleri kullanamıyorduk.

     

    Şu anda xilinx atlys spartan 6 board satın aldım onu kullanıyorum. Xilinx ISE 14.7 var destekleyen son olarak.

    Xilinx in dökümanları daha iyi diyebilirim, üstüne üstlük derleyicileri ve ortamları daha iyi,

    Altera'nın boardlarında da giriş çıkış birimleri daha çeşitli ancak Türkiye'de aşırı derecede kar payı olduğu için gereğinden çok pahalı satılıyor.

     

    İlk tavsiyem xilinx sonrası altera olacaktır.

     

    Birde FPGA board kodlarken FPGA boardlarına gömülü olan işlemcileri kullanma mümkünse.

     

     

    Kolay gelsin.

     


    Bu imzayı her gördüğünüzde 4gb kotanızın 0,00000358559191226959228515625 azalmaktadır. Bilerek ve istenerek bu imza yapılmıştır. Amaç kotanızı sömürmektir
  7. KısayolKısayol reportŞikayet pmÖzel Mesaj
    C10H15N
    C10H15N's avatar
    Kayıt Tarihi: 10/Şubat/2014
    Homo
    sandman bunu yazdı

    Üniversitede ilgili bir bölümde okuyorsan mantık devreleri hocasına git, o sana yardımcı olabilir ama daha öncede yazmıştım olay sadece FPGA değil, bu işin büyük kısmı elektronik ve matematik bilgisi.

    Üniversite okumuyorum yalnız Mekatronik düşünüyorum bu bölüme yakın. 

    Elektronik bilgisini kasmam lazım birazda sanırsam. 

  8. KısayolKısayol reportŞikayet pmÖzel Mesaj
    C10H15N
    C10H15N's avatar
    Kayıt Tarihi: 10/Şubat/2014
    Homo
    iQsuz bunu yazdı

    herşeyden önce boolen matematiği bilmen gerek, daha sonra sayısal tasarım devrelerini bilmen gerekir.lojik kapılar.

    boolen cebri bilen için zor değildir. daha sonra çıkarım şekilleri falan var çeşitli bunlardan biri karnaugh haritaları,

    flip flop devrelerini falan iyice kavrarsan fpga senin için daha kolay ve eğlenceli hale gelebilir.

    ve en son iki tane yazlılımsal dil var bununla ilgili bunlardan biri verilog diğeri vhdl. bunlardan birini bilmen gerekli.

     

    Dediklerinizi not ettim onlarıda öğrenmeye çalışacağım. 

  9. KısayolKısayol reportŞikayet pmÖzel Mesaj
    C10H15N
    C10H15N's avatar
    Kayıt Tarihi: 10/Şubat/2014
    Homo
    DrKill bunu yazdı
    C10H15N bunu yazdı
    mt93 bunu yazdı

    ilk öncelikle verilog veya vhdl den birini seçip iyi öğrenmeniz gerek. Tabii dahada öncesinde lojik devre bilginizin olması gerekiyor. FPGA geliştirme kartı gibi bişey var mıdır yakınınızda bilmiyorum ama yoksa başlangıç olarak kullanabileceğiniz bir kit edinebilirsiniz.Çizgi tagemde eğitimide varmış çok detaylı incelemedim ama.Bende öğrenme aşamasının daha başındayım.
    http://www.cizgi-tagem.org/?course=gomulu-sistemler-ve-fpga-egitim-videolari

    Hocam aralarında büyük projeler için VHDL daha iyi duydum. verilog daha çok basit işler için kullanılıyor diyorlar. sizce VHDL iyi bir karar mı? 

     

    7 ay önce bende aynı sorulara cevap arıyordum.

    Verilog öğren derim kesinlikle.

    FPGA için digital elektronik bilgini tazele, öğren veya geliştir öncelikle.

    Daha sonra basit bir fpga board al derim. FPGA boardlar biraz pahalı olur. İlk tavsiyem şu olur: http://www.elektrovadi.com/Arty-FPGA-Board-Artix-7,PR-2365.html

    Eğer yok en ucuzu nedir dersen şunu al derim: https://market.cizgi.com.tr/product/education/altera-de0-nano-academic

     

    Ben altera de2, de0 kullandım okulda. de2 ve de0 ın eski ürünü olduklarından (cyclonII ve cyclon III FPGA kartları vardı) quartus 13.1 den sonraki sürümleri kullanamıyorduk.

     

    Şu anda xilinx atlys spartan 6 board satın aldım onu kullanıyorum. Xilinx ISE 14.7 var destekleyen son olarak.

    Xilinx in dökümanları daha iyi diyebilirim, üstüne üstlük derleyicileri ve ortamları daha iyi,

    Altera'nın boardlarında da giriş çıkış birimleri daha çeşitli ancak Türkiye'de aşırı derecede kar payı olduğu için gereğinden çok pahalı satılıyor.

     

    İlk tavsiyem xilinx sonrası altera olacaktır.

     

    Birde FPGA board kodlarken FPGA boardlarına gömülü olan işlemcileri kullanma mümkünse.

     

     

    Kolay gelsin.

     

    bilgi için teşekkürler. Amazon veya aliekpress'ten alabilirim. aslında bu devreleri daha ekonomik gelir. 

  10. KısayolKısayol reportŞikayet pmÖzel Mesaj
    anubisx
    anubisx's avatar
    Kayıt Tarihi: 21/Ağustos/2005
    Erkek
    C10H15N bunu yazdı

    Arkadaşlar Elektronik Harp ile ilgileniyorum. bunun için FPGA Öğrenmem gerektiğini duydum. nereden başlamalıyım. kendimi nasıl geliştirebilirim. elinizde bu konuyu sıfırdan her haliyle anlatan kaynak var mı? 

    sizde FPGA hakkında temel bilgiler verirseniz sevinirim. 

    Bilkent EEE'de lisans okudum, simdi yuksek lisans yapiyorum. ASELSAN'da cok fazla arkadasim FPGA'ler ile calisti sanirim sorunu cevaplayabilirim.

    FPGAlerle programlamaya baslayacaksan digital logic ogrenmek gerekiyor. Ingilizcenin oldugunu varsayarak devam ediyorum, cunku kaynaklar ingilizce hep bulabilecegin. Su kitabi oneririm kesinlikle, ASELSAN'da calisan bi arkadasima oradaki digital design muhendisleri onermis, ben de incelemistim, guvenerek soyluyorum : http://www.amazon.com/Circuit-Design-Simulation-Volnei-Pedroni/dp/0262014335

    VHDL / Verilog sorusunun cevabi VHDL.

    Ben kendi projelerimde hep BASYS 2 yi kullandim ( http://store.digilentinc.com/basys-2-spartan-3e-fpga-trainer-board-limited-time-see-basys-3/ ) . Fazlasiyla yeterli olacaktir, ancak basta catir cutur bolme islemlerine vs. girersen optimizasyona gitmeden hafizasi dolar. Bence bu FPGA boardla baslayabilirsin.

    Yazilim olarak zaten Xilinx...

     

    Elektronik harp'a ilgiliysen yalniz FPGA ile calisiyor olman cok onemli evet de daha onemli olan DSP ve Telecom biliyor olman.. FPGA board sadece birseyleri belli precisionda hizli hesapla diye var, neyi hesaplayacagini, hangi algoritmalari kullanacagini bilmek icin DSP/Telecom un gelismis seviye kurslarini bilmen gerekiyor bence.

     


    http://yigitdemirag.com
  11. KısayolKısayol reportŞikayet pmÖzel Mesaj
    C10H15N
    C10H15N's avatar
    Kayıt Tarihi: 10/Şubat/2014
    Homo
    anubisx bunu yazdı
    C10H15N bunu yazdı

    Arkadaşlar Elektronik Harp ile ilgileniyorum. bunun için FPGA Öğrenmem gerektiğini duydum. nereden başlamalıyım. kendimi nasıl geliştirebilirim. elinizde bu konuyu sıfırdan her haliyle anlatan kaynak var mı? 

    sizde FPGA hakkında temel bilgiler verirseniz sevinirim. 

    Bilkent EEE'de lisans okudum, simdi yuksek lisans yapiyorum. ASELSAN'da cok fazla arkadasim FPGA'ler ile calisti sanirim sorunu cevaplayabilirim.

    FPGAlerle programlamaya baslayacaksan digital logic ogrenmek gerekiyor. Ingilizcenin oldugunu varsayarak devam ediyorum, cunku kaynaklar ingilizce hep bulabilecegin. Su kitabi oneririm kesinlikle, ASELSAN'da calisan bi arkadasima oradaki digital design muhendisleri onermis, ben de incelemistim, guvenerek soyluyorum : http://www.amazon.com/Circuit-Design-Simulation-Volnei-Pedroni/dp/0262014335

    VHDL / Verilog sorusunun cevabi VHDL.

    Ben kendi projelerimde hep BASYS 2 yi kullandim ( http://store.digilentinc.com/basys-2-spartan-3e-fpga-trainer-board-limited-time-see-basys-3/ ) . Fazlasiyla yeterli olacaktir, ancak basta catir cutur bolme islemlerine vs. girersen optimizasyona gitmeden hafizasi dolar. Bence bu FPGA boardla baslayabilirsin.

    Yazilim olarak zaten Xilinx...

     

    Elektronik harp'a ilgiliysen yalniz FPGA ile calisiyor olman cok onemli evet de daha onemli olan DSP ve Telecom biliyor olman.. FPGA board sadece birseyleri belli precisionda hizli hesapla diye var, neyi hesaplayacagini, hangi algoritmalari kullanacagini bilmek icin DSP/Telecom un gelismis seviye kurslarini bilmen gerekiyor bence.

     

    İngilizcem Orta seviye ama ileri seviye tanıdıklarım var. ku kıtabı takıldığım yerlerde çevirtebilirim o yönden sıkıntı olmaz. 

    DSP/Telecom konusunu araştırmalarım arasına aldım aynı zamanda matlab'da öğrenmeye başlıyorum. Elektronik harp için. 

    Verdiğiniz bilgiler için Çok Teşekkürler. 

Toplam Hit: 1718 Toplam Mesaj: 15
fpga