Bilgisayar Nasıl 4 İşlem Yapar?

  1. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kofcu
    kofcu's avatar
    Kayıt Tarihi: 29/Ağustos/2014
    Erkek

    merhaba arkadaşlar, merak etmiştim bazı şeyler öğrendim ama şimdi denemek istiyorum şöyle bi elektrik devreleriyle takılabileceğim bir program var mıdır? (ve, veya, değil gibi kapılarla nasıl yapıldığını gördüm de kendim de yapmak istedim)

    programlama dilleri farklı farklı yöntemler mi kullanıyor? çünkü bazı diller bazı işlemlerde hata falan yapıyorlar, ne ayak?


    ben de sevgiden yanayım...
  2. KısayolKısayol reportŞikayet pmÖzel Mesaj
    yawuz
    yawuz's avatar
    Kayıt Tarihi: 17/Temmuz/2005
    Erkek

    elektronik devre simulasyon programları var gördün de dikkatini mi çekmedi acaba proteus mureteus?


    tahribat.com a can feda
  3. KısayolKısayol reportŞikayet pmÖzel Mesaj
    YeniHarman
    YeniHarman's avatar
    Kayıt Tarihi: 17/Haziran/2012
    Erkek

    Biraz zor is sadece mantik kapilari ile islemci tasarlamak. relay brain fuck computer diye aratip sadece role ile yapilmis bir makineye ulasabilirsin. ttl cpu diye hackaday de aratabilirsin. islemci icinde ne oluyor diye merak edersen visual6502.org a bakabilirsin.

    sonucta her derlenen program islemcinin anlayabilecegi yapiya donusur. farkli sonuclar ise genellikle ieee 754 standardindan olusuyor. kayar noktali sayilari isleme ve saklama biraz farkli bir olay. 


    Olaylara karışmayın!
  4. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kofcu
    kofcu's avatar
    Kayıt Tarihi: 29/Ağustos/2014
    Erkek

    @yawuz hocam keyword için teşekkür ederim gün içinde yorulduğumdan aklıma gelmedi tam ne yazacağım ^^ tabi programın var olacağını tahmin ediyordum da tavsiye almış olurum artık :) 

    @YeniHarman hocam, hobi olarak ilgileniyorum zaten hocam öylesine kurcalayayım dedim, verdiğin örnekler için teşekkür ederim :)


    ben de sevgiden yanayım...
  5. KısayolKısayol reportŞikayet pmÖzel Mesaj
    MhmdAlmz
    MhmdAlmz's avatar
    Kayıt Tarihi: 09/Ağustos/2015
    Erkek

    Hocam her şey toplama işlemi ve bit üzerinde bir takım değişikliklerden meydana geliyor. Daha yeni mi öğrenci oldun bilmiyorum ama salla çok kafa yorma program yaz geç. Elektronikçiler bile bazen anlam veremiyor bir çok şeye :) garip ve kafa yolduran bir dünya.. 


    Andolsun kuşluk vaktine ve dindiği zaman o geceye ki, Rabbin sana veda etmedi ve darılmadı! Ve kesinlikle senin için sonu önünden (ahiret dünyadan) daha hayırlıdır. ileride Rabbin sana verecek de hoşnut olacaksın! O, seni bir yetim iken barındırmadı mı? Seni, yol bilmez iken (doğru) yola koymadı mı? Seni bir yoksul iken zengin etmedi mi? Öyle ise, sakın yetime kahretme (onu horlama)! El açıp isteyeni de azarlama! Fakat Rabbinin nimetini anlat da anlat!
  6. KısayolKısayol reportŞikayet pmÖzel Mesaj
    pineapplemen.
    sercoo
    sercoo's avatar
    Kayıt Tarihi: 06/Eylül/2015
    Erkek

    nandgame.com var hocam nand gateden başlayım koca bi cpu inşaa edene kadar bölüm bölüm gidiyosun ben control unite geldim onuda bi geçemedim gitti  


    i might be a pineapple but you're a fineapple baby
  7. KısayolKısayol reportŞikayet pmÖzel Mesaj
    YeniHarman
    YeniHarman's avatar
    Kayıt Tarihi: 17/Haziran/2012
    Erkek

     

    mukemmel editor bos yollamis. ikinin tumleyenine bir bak hocam. bir de emu8086 programi adim adim yuruttugun programda islemci registerlari nasil degisiyor gosterir. 

     

    YeniHarman tarafından 23/Eyl/18 00:15 tarihinde düzenlenmiştir

    Olaylara karışmayın!
  8. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kofcu
    kofcu's avatar
    Kayıt Tarihi: 29/Ağustos/2014
    Erkek

    oh be sonunda bulunduğum yerin farkına vardım. cevap yazan herkese çok teşekkür ederim, çok değerli bilgiler edindim. ayrıca cevap yazan arkadaşlarımda genel bir ortak yön fark ettim, işte zihniyet herşey. herşey bunda bitiyor.

    nandgame çok güzel tasarlanmış en azından başlangıcı oradan alabilirim.

    relay brain fuck computer a baktım adam harbiden emek vermiş helal olsun ama ağır sıkılmak lazım bu tarz bir projeye girmek için :)

    ttl cpu da güzel bir projeymiş. bu sabah da bunun gibi bir şeyi düşünmüştüm. biz teknoloji patlamasının başına denk geldik, bence bu yönüyle teknolojiyi anlamada şanslı sayılabiliriz. çünkü teknolojinin gelişimi gözlerimizin önünde başından yakalanabilecek şekilde gerçekleşiyor. benim örneğim bunda ses ile ilgiliydi. hoparlör ve mikrofonun mantığı çok ilginç. esasen ikisi de birbirinin aynı sadece kablonun diğer ucu farklı.. plak çalınmasının mantığı falan çok güzel, resmen fiziksel olarak sesi depolamanın ve fiziksel depolanmış sesin sese dönüşümü.. daha sonra kasetler... derken CD gelince işler çok değişiyor, tamamen farklı bir teknoloji... gerçi track cdler aklıma gelmemişti sabah düşünürken belki onların teknolojisi o aradaki boşluğu doldurur niteliktedir onu bilemiyorum ama CD işinden sonra, ya da bilgisayardan sonra iş baya değişmiş. başını bilmeyince direk CD teknolojisinden girmek mesela çok zor ama baştan öğrenince kolaylaşıyor. Bu TTL CPU'yu öğrenmem de bu açıdan güzel hocam onu öğrenmeden kuantum bilgisayarları öğrenemem...

    visual6502 de artık öğrendiklerimi gerçeğinde gözlemleyebileceğim bir referans kaynak oldu teşekkürler herkese....

    başlığımın 2. kısmı için bir fikri olan var mı? programlama dilleri farklı farklı yöntemlerle mi işlem yapıyor? cevap evetse tahminim nedeni kullanım alanlarına göre en hızlı yöntemi kullanmalarıdır ama gerçekte nedir amacı?

    ayrıca mantık kapılarını öğrenince fark ettim ki büyük sayıları toplamak teorik olarak küçük sayıları toplamaktan daha fazla işlem yapılmasını gerektirir yani daha geç olur yanlış mı düşündüm? sadece fark edemeyeceğimiz derecede küçük bir fark mı?

    programlama dilleri arasında da işlem hızları arasında farklar var mı? konu ile ilgili yarışma düzenlenebilir mi? mesela katılımcılara işlem yapan program yaptırılır en hızlı toplama yapan, çıkarma yapan, bölme, çarpma, ondalıklı işlem, kalanlı işlem, irrasyonel sayılar..... hız ve işlev açısından değerlendirme olsa falan...


    ben de sevgiden yanayım...
  9. KısayolKısayol reportŞikayet pmÖzel Mesaj
    kofcu
    kofcu's avatar
    Kayıt Tarihi: 29/Ağustos/2014
    Erkek

    bendeki ufak tefek 2 şeyi paylaşayım. şu adam domino taşlarıyla toplama devresi yapıyor :D https://www.youtube.com/watch?v=lNuPy-r1GuQ kanalı matematikten falan hoşlanıyorsanız tavsiye ederim...

    bi ara da telefonda şu ufak oyunu oynuyordum, öyle zaman geçirmelik fena olmayan bir puzzle: https://play.google.com/store/apps/details?id=com.Suborbital.CircuitScramble


    ben de sevgiden yanayım...
  10. KısayolKısayol reportŞikayet pmÖzel Mesaj
    YeniHarman
    YeniHarman's avatar
    Kayıt Tarihi: 17/Haziran/2012
    Erkek

    performans konusunda dil degil derleyici bile fark eder. ornegin tcc ile derledigin c kodu ile cc ile derledigin kod farkli boyutta object kod uretebilir. burada onemli olan derleyicinin optimizasyon becerisi ve hedef mimariyi tanimasi. tanimak derken islemci uzantilarini kullanmasi (mmx vs.). tabi dogru parametreleri secmek de onemli. 


    Olaylara karışmayın!
  11. KısayolKısayol reportŞikayet pmÖzel Mesaj
    whopper
    whopper's avatar
    Kayıt Tarihi: 26/Haziran/2008
    Erkek

    @kofcu hocam sorunun cevabı basit değil maalesef 2,3 satırda anlatılacak şey değil. Sadece 4 işlemin işlemcide nasıl gerçekleştiğini sorsan yine anlarım ama programa dilleri dediğin şeylerin bu düzeyle alakası yok.

    PIC programlama veya VHDL verilog dilleriyle fpga öğrenebilirsin. Biz lablarda vivado design suite (eski adıyla xilinx ise) kullanıyorduk. Basit düzeyde verilog kodunu yazıp, devrelerini tasarlayıp simule edebilirsin bu ve benzeri programlarla.

    Computer architecture konulu yazılar bulup okuyabilirsin. Bir kodun yazılıp derlenmesi ve işlemci üzerinde çalışması esnasında donanımda gerçekleşenleri uçtan uca araştırıp öğren derim. Muhtemelen zevk alacaksın

     

Toplam Hit: 2431 Toplam Mesaj: 13
elektronik programlama bilgisayar 4 işlem